L. ANGHEL, "Les limites technologiques du silicium et tolérance aux fautes", le 15 décembre 2000, INPG, Grenoble.
Copyright - [Précédente] [Première page] [Suivante] - Home

Thèse : [THESE092]

Titre : L. ANGHEL, Les limites technologiques du silicium et tolérance aux fautes, le 15 décembre 2000, INPG, Grenoble.

Auteur : Lorena ANGHEL
Date : le 15 décembre 2000

Origine : INSTITUT NATIONAL POLYTECHNIQUE DE GRENOBLE, pour obtenir le grade de DOCTEUR DE L'INPG
Spécialité : « MICROELECTRONIQUE»
Préparée : au laboratoire TIMA dans le cadre de l'Ecole Doctorale « Electronique, Electrotechnique, Automatique, Télécommunications, Signal »

Directeur : Mihail Nicolaidis
Président : M. Guy Mazare
Rapporteur : M. Yervant Zorian
Rapporteur : M. Matteo Sonza-Reorda
Jury : Mihail Nicolaidis - Directeur de thèse
Jury : M. Eric Dupont - Examinateur

Lien : LTS_114.pdf - 742 Ko, 162 pages.
Spécialité : MICROELECTRONIQUE
Mots-clés : TOLERANCE AUX FAUTES, FAUTES TRANSITOIRES, FAUTES DE TIMING, CIRCUITS AUTO-CONTROLABLES, TECHNOLOGIES SOUSMICRONIQUES, REDONDANCES TEMPORELLES
ISBN : 2_913329_54_3 broché
ISBN : 2_913329_55_1 format électronique

RESUME :
Les technologies de silicium s’approchent de leurs limites physiques en termes de réduction de tailles des
transistors, et de la tension d’alimentation (VDD), d’augmentation de la vitesse de fonctionnement et du
nombre de dispositifs intégrés dans une puce. En s’approchant de ces limites, les circuits deviennent de plus
en plus sensibles à toute source de bruit (telles que les couplages capacitifs ou «cross-talks », l’influence
électro-magnétique, le bruit sur les lignes d’alimentation « ground-bounce »), ainsi qu’aux phénomènes
radiatifs (particules alpha et neutrons atmosphériques).
Ainsi, le taux d’erreurs du fonctionnement causées par l’impact des particules ionisantes (erreurs soft) ou par
des défauts difficiles à détecter échappant ainsi au test de fabrication (par ex. fautes temporelles), se voit
augmenté de façon radicale. Dans cette thèse, nous analysons dans un premier temps ces problèmes et nous
concluons que tout circuit doit être conçu en utilisant des techniques de tolérance aux fautes afin de pouvoir
maintenir des niveaux de fiabilité acceptables pour les prochaines générations de circuits nanométriques.
Cette analyse montre que les parties logiques tendent de devenir aussi sensibles aux erreurs soft que les
mémoires, nécessitant ainsi le même niveau de protection. Les techniques traditionnelles de tolérance aux
fautes (TMR, duplication) étant trop coûteuses, ne sont pas acceptables pour les applications à faible valeur
ajoutée (ex. produits grand publique). Le caractère temporel des fautes transitoires et de timing est exploité
afin de proposer des solutions efficaces utilisant des structures self-checking, ainsi que des techniques de
redondance temporelle. Ces techniques minimisent le coût matériel et ont un faible impact sur la vitesse de
fonctionnement du circuit. Nous avons aussi développé une méthodologie de simulation de fautes transitoires,
qui nous a permit d’évaluer de façon précise l’efficacité de protection obtenue par ces techniques.

TITRE_en_anglais : FAULT TOLERANCE VERSUS TECHNOLOGICAL LIMITATIONS OF SILICON
RESUME_EN_ANGLAIS :
Integrated circuit technology is approaching the ultimate limits of silicon in terms of geometry shrinking,
power supply level, speed and density. By approaching these limits, circuits are becoming increasingly
sensitive to any noise source (such as cross-talks, electromagnetic influence, noise on the power line, ground
bounce) as well as radiative phenomena (e.g. alpha particles and atmospheric neutrons).
Thus, the error rate due of the impact of ionizing particles (soft errors) or by the defects difficult to detect that
may escape fabrication testing (e.g. timing faults) is drastically increased. In this thesis, we address these
problems and we conclude that future integrated circuits have to be designed by using fault tolerance
techniques, in order to maintain acceptable reliability levels. This analyze shows that logic parts are becoming
as sensitive to soft errors as memories and therefore they need tobe protected. Traditional fault tolerance
techniques (e.g. TMR, duplication) are of a high cost, they are not acceptable for low added value applications
(for example commercial products). The temporal nature of the transient and timing faults is exploited in
order to obtain efficient solutions by using self-checking structures as well as time redundancy techniques.
These techniques decrease the hardware cost and have a small impact on the circuit performances. We have
also developed a transient fault simulation methodology, which has allowed us to evaluate the efficiency of
these methods with a very good accuracy.

REFERENCES :
[AITK 99] AITKEN R., "Nanometer Technology Effects on Fault Models for IC Testing", Computer Design & Test, November 1999, pg. 46-51.
[ALEX 00] ALEXANDRESCU D., "Méthodes et outils pour la simulation des fautes transitoires", Rapport DEA, Juin 2000.
[ALZ 99] ALZAHER I., NICOLAIDIS M., "A Tool for Automatic Generation of Self-Checking Multipliers Based on Residu Arithmetic Codes, "Design, Automation and Test in Europe Conference, March Munich, Germany, 1999.
[AND 71] ANDERSON D.A, "Design of Self-Checking Digital Networks Using Coding Techniques. Coordinates. Sciences Laboratory", Report R/527. University of Illinois, Urbana, September 1971.
[AND 73] ANDERSON D.A , METZ G., "Design of Totally Self-Checking Check Circuits for m-out-of-n Codes", IEEE Trans. on Comput., Vol. C-22, pp.263-269, March 1973.
[ANG 00a] ANGHEL L., NICOLAIDIS M. "Cost Reduction and Evaluation of a Temporary Fault Detection Technique », Proceedings of DATE’00., pp. , Paris, March 2000.
[ANG 00b] ANGHEL L., NICOLAIDIS M., ALZAHER I. "Self Checking Circuits versus Realistic Faults in Very Deep Submicron", Proceedings of VTS’00, pp.263-269, Montreal, May 2000.
[ANG 00c] ANGHEL L., ALEXANDRESCU D., NICOLAIDIS M. "Self Checking Circuits versus Realistic Faults in Very Deep Submicron", Proceedings of SBCCI’00, pp.237-242, Manaos, Brasil, September 2000..Références
[ASH 77] ASHJAEE M.J., REDDY S.M., "On-Totally Self-Checking Checkers for Separable Codes", IEEE Trans. on Comp., vol. C-26, pp. 737-744, Aug. 1977.
[AVI 73] AVIZIENIS A., "Arithmetic Algorithms for Error-Coded Operands"IEEE Trans. on Comput., Vol. C-22, No. 6, pp.567-572, June 1973.
[BAUM 99] BAUMANN R., "Neutron Induced Boron Fission as a Major Source of Soft Errors in Deep Submicron SRAM Devices"
[BAZ 97] BAZE M., BUCHNER S., "Attenuation of Single Event Induced Pulses in CMOS Combinational Logic", IEEE Trans. on Nuclear Science, Vol. 44, No 6, December 1997.
[BEN 75] BENOWITZ N., et al. , "An Advanced Fault Isolation System for Digital Logic", IEEE Trans. On Computers, vol. C-24, No. 5, pp. 489-497, May 1975
[BES’94] D. BESSOT, R. VELAZCO, « Design of SEU Hardened CMOS Memory Cell : The HIT Cell », Proceedings 1994, RADECS Conference, pp. 563-570.
[BON 79] BONEY J., RUPP E. , "Let Your Next Microcomputer Check Itself and Cut Down Your testing Overhear", Electronic Design, pp. 101-106, 1979
[BOUR 91] BOURRIEAU J., "L’environnement spatial : flux, dose, blindage, effets des ions lourds", Tutorial Short Course, RADECS’91, 1991.
[BRE 82] BRENT R., KUNG H., “A regular layout for parallel adders”, IEEE Transactions on Computers, vol. C-31, n 3, pp. 260-264, March 1982.
[CALI 96] CALIN T. et al."Upset Hardened Memory Design for Submicron CMOS Technology", IEEE Transaction on Nuclear Science, vol. 43, pp. 2874-2878, 1996.
[CAR 68] CARTER W.C., SCHNEIDER P.R., "Design of dynamically checked computers", Proc.4 th Congress IFIP, vol.2, Edinburgh, Scotland, Aug. 5-10 1968, pp. 878- 883.
[CAT 99] CATALDO A., "Intel Scans for Soft Errorsin Processor Designs", EETimes, 06/16/99
[CHA 93] CHA H., PATEL J., "A logic-level model for alpha-particle hits in CMOS circuits", Proc. Int. Conf. Computer Design, Oct. 1993, pp. 538-542.
[COH 99] COHEN N. " Soft Error Considerations for DSM CMOS Circuit Applications", 1999.Références
[DE 94] NATARAJAN K. De. C., NAIR D., and BANERJEE P., "RSYN: A System for Automated Synthesis of Reliable Multilevel Circuits, "IEEE Transactions on VLSI Systems}, Volume 2, number 2, June 1994, pp. 186-195.
[DELL 99] DELL T., "" EDTN, June 1999.
[DET 96] DETCHEVERRY C. et al. "SEU Critical and Sensitive Area in a Submicron CMOS Technology", IEEE Transaction on Nuclear Science, vol. 44, no. 6, decembre 1996.
[DIEH 83] DIEHL S.E., et al. "Considerantion for Single Event Upset Immune VLSI Logic", IEEE Transactions on Nuclear Science, vol. 30, pp. 4501-4508, 1983.
[FAS 82] FASANG P.P. "A Fault Detection and Isolationtechnique for Microprocessors", Digest of papers 1982 Intn’l Test Conf. pp. 214-219, Nobember 1982
[FUJ 83] FUJIWARA H, and SHIMONO T., "On the Acceleration of Test Generation Algorithm", IEEE Trans. On Computers, Vol. C-32, No. 12, pp. 1137-1144, Deecember, 1983
[FUN 75] FUNATSU S., WAKATSUKI N. and YAMADA A., "Designing Digital Circuits with Easy Testable Considerations", Proc. Test Conf. , pp. 98-102, September, 1978.
[GEL 87] GELSINGER P.P., "Design and test of the 80386", IEEE Design & Test of Computers, vol. 4, No. 3, pp. 42-50, June 1987.
[GOEL 81] GOEL P., "An Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits", IEEE Trans. On Computers, Vol. C-30, No.3, pp. 215-222, March, 1981
[GREE 98] GREEN L. "Addressing the Effects of Signal Integrity in Deep-Submicron Design", ISD Mag, Cover Story, June 1998
[HAN 87] HAN T., CARLSON D., “Fast area efficient VLSI Adders”, 8 th Symposium on Computer Arithmetic, pp. 49-56, May 1987.
[HWA 79] HWANG K., "Computer Arithmetic, Principles, Architectures and Design", Jhon Wiley and Sons, New York, 1979
[JHA 93] JHA N.K., WANG S-J, "Design and Synthesis of Self-Checking VLSI Circuits", IEEE Trans. Comp. Aided Des., vol. 12pp. 878-887, June 1993..Références
[KAR 89] KARPOVSKY M.G., NAGVAJARA P., "Design of Self-Diagnostic Boards by Signature Analysis", IEEE Trans. On Industrial Electronics, vol. 36, No. 2, pp. 241-245, May 1989.
[KATZ 95] KATZ I., "What System Designers Need to Know about Deep-submicron ASICs", EDN Mag, 16 february 1995.
[KOB 68] KOBAYASKI T., MATSUE T., SHIBA H. "Flip-Flop Circuit with FLT Capability", Proc. IECEO Conf. p. 692, 1968.
[KOG 73] KOGGE P.M., STONE H., “A Parallel algorithm for efficient solution of a general class of recurrence equations”, IEEE Transactions on Computers, vol. C-22, n 8, pp. 786-792, August 1973
[LAMM 98] LAMMERS D., GOERING R., "Compiled ASIC Libraries Ease Path to 0.25-Micron Technologies", 23 february 1998, EE Times.
[MA 89] MA T.P., DUSSENDORFER P., "Ionizing Radiation Effects in Mos Devices and Circuits", Willey, New York, 1989.
[MAY 78] MAY T.C., WOODS M., "A New Physical Mechanism for Soft Errors in Dynamic memories", Proc. 16 Int’l Reliability Physics Symposium, pp. 33, April 1978.
[McC 71] MCCLUSKEY E.J., CLEGG F.W. "Fault equivalence in combinational logic networks", IEEE Trans. Comp., Vol. C-20, pp. 1286-1293, Nov. 1971.
[MUSS 96] MUSSEAU O., "Single Event Effects in SOI technologies and Devices", IEEE Transactions on Nuclear Science, vol. 43, pp. 603-613, 1996.
[NIC 86] NICOLAIDIS M., COURTOIS B., "Design of Self-Checking Circuits Using Unidirectional Error Detecting Codes". In Proc. of the 16 th FTCS Vienna, July 1986, Austria.
[NIC 87] NICOLAIDIS M., "Shorts in Self-Checking circuits", Proc. Int. Test Conf., Washington, D.C., Sept. 1987
[NIC 91] NICOLAIDIS M., BOUDJIT M. "New Implementations, Tools and Experiments for Decreasing Self-Checking PLAs Area Overhead"IEEE International Conference on Computer Design (ICCD), Cambridge, Massachusetts, October, 1991.
[NIC 93] NICOLAIDIS M. "Efficient Implementations of Self-Checking Adders and ALUs"In Proc. 23th IEEE International Symposium on Fault Tolerant Computing, Toulouse, France, June 1993..Références
[NIC 97] NICOLAIDIS M., DUARTE R.O., MANICH S., FIGUERAS J. "Achieving Fault Secureness in Parity Prediction Arithmetic Operators", IEEE Design & Test of Comp., April-June 1997.
[NIC 99] NICOLAIDIS M., "Time Redundancy Based Soft-Error Tolerance to Rescue Nanometer Technologies", In proceedings VTS 99.
[NIC 99] NICOLAIDIS M., DUARTE R.O., "Design of Fault-Secure Parity-Prediction Booth Multipliers", IEEE Design & Test of Comp., July-Sept. 1999.
[NIC 00] NICOLAIDIS M., " Carry Checking/Parity Prediction Adders and ALUs", to appear IEEE Trans. on VLSI Systems.
[NORM 96] NORMAND E. "Single Event Upset at Ground Level", IEEE Transanctions on Nuclear Science, vol. 43, no. 6, December 1996.
[PET 58] PETERSON W.W."On checking an Adder", IBM J. Res. Develop. 2, pp.166-168, April 1958.
[PET 72] PETERSON W.W., WELDON E.J., "Error-Correcting Codes", second Ed., The MIT press, Cambridge, Massachusetts, 1972
[PET 83] PETERSEN E.L., "Single Event Upsets in Space : Basic Concepts", Tutorial Short Course, IEEE NSREC’83, 1983.
[PICK 83] PICKEL J.K., "Single Events Upsets Mechanisms and Predictions", Tutorial Short Course, IEEE NSREC’83, 1983.
[PRAD 86] PRADHAN D. "Fault Tolerant Computing : Theory and Techniques", Prentice Hall 1986.
[ROC 88] ROCKETT L., " An SEU Hardened CMOS Data Latch Design ", IEEE Trans. On Nuclear Sc. Vol. NS-35, n. 6, Dec. 1988, pp. 1682-1687.
[ROTH 66] ROTH J.P. "Diagnosis of Automata Failure : A Calcul and a Method", IBM Journal of Research and Development, Vol. 10, No. 4, pp. 278-291, July, 1966
[ROTH 67] ROTH J.P., BOURICIUS W.G. and SCHNEIDER P.R., "Programmed Algorithm to Compute Tests to Detect and Distinguish Between Failure in Logic Circuits", IEEE Trans. On Electronic Computer, Vol. EC-16, No.10, pp.567-579, October 1967
[SAP 96] SAPOSHNIKOV V.V., SAPOSHNIKOV Vl.V., MOROSOV A., GOSSEL M., "Design of Self-Checking Unidirectional Combinational Circuits with Low Area Overhead", 2 nd IEEE Intl. On-Line Testing Workshop, Saint Jean de Luz, Biarritz, France, July 1996.
[SCI 00] ACTUALITÉS, Intel, Science et Avenir, octobre 2000.
[SEM 98] SEMATECH "Quality and Reliability Issues in the National Technology Roadmap for Semiconductors", 1998
[SEXT 89] SEXTON F.W., "SEU Characterisation of Hardware CMOS 64K and 256K SRAM", IEEE Transactions on Nuclear Science, vol. 36, pp. 2311-2319, 1989.
[SIEW 92] SIEWIOREK, SWARZ, "Reliable Computer Systems, Design and Evaluation", second edition, 1992
[SILB 84] SILBERBERG R. et al."Neutron Generated Single Event Upset in the Atmosphere", IEEE Transanctions on Nuclear Science, NS -31, December 1984.
[SKL 60] SKLANSKI J., “Conditional-sum addition logic”, IRE Transaction on Electronic Computers, vol. EC-9, n 2, pp. 226-231, June 1960.
[SMI 77] SMITH J.E, METZE G."The Design of Totally Self-Checking Combinatorials Circuits", Proc. 7 th Faylt Tolerant Computing Symposium, Loss Angeless, USA, June 1997.
[SMI 78] SMITH J.E., METZE G. "Strongly Fault Secure logic networks", IEEE Trans. on Comp. Vol. C-27 N°6, June 1978.
[SYL 99] SYLVESTER D., KEUTZER K., "Rethinking Deep-Submicron Circuit Design", Computer Design & Test, November 1999, pg. 25-33.
[TAB 92] TABER A. et NORMAND E., "Investigations and Characterisation on SEU Effects and Hardening Strategies in Avionics", IBM Report 92-L75-020-2, August 1992, republished as DNA-Report DNA-TR-94-123, feb. 1995.
[TOU 94] TOUBA N. A., MCCLUSKEY E.J., "Logic Synthesis Techniques for Reduced Area Implementationof Multilevel Circuits with Concurrent Error Detection", Proc. of Int. Conf. on Comp. Aided Design, 1994.
[WES 94] WESTE N.H.E. et al. “Principles of CMOS VLSI Design- A System Perspective- second edition, Addison-Wesley Publishing Co., 1994.
[WHI 91] WHITAKER S., CANARIS J. et al. « SEU Hardened Memory Cell for a CCSDS Reed Solomon Encoder », IEEE. Trans. On Nuclear Sc. Vol. NS-38, n.6, Dec. 1991, pp. 1471-1477.
[WILL 99] WILLIAMS T., KAPUR R., "Tough Challenges as Design and Test Go Nanometer", Computer Design & Test, November 1999.
[ZIEG 96] ZIEGLER J.F., "Terrestrial Cosmic Rays", IBM 1996.


Mise à jour le lundi 10 avril 2023 à 19 h 01 - E-mail : thierry.lequeu@gmail.com
Cette page a été produite par le programme TXT2HTM.EXE, version 10.7.3 du 27 décembre 2018.

Copyright 2023 : TOP

Les informations contenues dans cette page sont à usage strict de Thierry LEQUEU et ne doivent être utilisées ou copiées par un tiers.
Powered by www.google.fr, www.e-kart.fr, l'atelier d'Aurélie - Coiffure mixte et barbier, La Boutique Kit Elec Shop and www.lequeu.fr.