NTW Logo (Black) About IEEE IEEE Membership Products and Services Conferences IEEE Organizations
IEEE Nav Bar SearchJoinNewsShopSitemapTourHome

IEEE TRANSACTIONS ON
ELECTRON DEVICES

A PUBLICATION OF THE IEEE ELECTRON DEVICES SOCIETY

July 2000, Volume 47, Number 07


See also the following links:

  • Electron Devices Society Home Page
  • Circuits and Systems Society Home Page
  • Components, packaging, and Manufacturing Technology
  • Microwave Theory and Techniques Society Home Page
  • Communications Society Home Page
  • Computer Society Home Page
  • Lasers and Electro-Optics Society Home Page
  • Oceanic Engineering Society Home Page
  • IEEE Engineering in Medicine and Biology Society Home Page

  • SPECIAL SECTION ON 1999 EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE


    Editorial--Expanded Papers from the 1999 European Solid-State Device Research Conference
    R. P. Jindal
    [p. 1309]


    PAPERS

    Compound Semiconductor Devices

    Theory and Small Signal Analysis for a New Bipolar Injection Transit Time Device (BIPOLITT)
    L. Chen and D.-S. Pan
    [p. 1310]

    Modeling of Current Gain's Temperature Dependence in Heterostructure-Emitter Bipolar Transistors
    E. S. Yang, C. C. Hsu, H. B. Lo, and Y.-F. Yang
    [p. 1315]

    Materials Processing and Packaging

    GaN N- and P-Type Schottky Diodes: Effect of Dry Etch Damage
    X. A. Cao, S. J. Pearton, G. T. Dang, A. P. Zhang, F. Ren, and J. M. Van Hove
    [p. 1320]

    Optoelectronics, Displays, Imaging

    Comparison of Hole and Electron Intersubband Absorption Strengths for Quantum Well Infrared Photodetectors
    J. L. Pan and C. G. Fonstad, Jr.
    [p. 1325]

    The Red Shift of ZnSSe Metal-Semiconductor-Metal Light Emitting Diodes with High Injection Currents
    Y. K. Su, W. R. Chen, S. J. Chang, F. S. Juang, W. H. Lan, A. C. H. Lin, and H. Chang
    [p. 1330]

    Dynamic Performance of UV Photodetectors Based on Polycrystalline Diamond
    S. Salvatori, M. C. Rossi, and F. Galluzzi
    [p. 1334]

    Reliability

    Stress Induced Leakage Current Analysis via Quantum Yield Experiments
    A. Ghetti, M. Alam, J. Bude, D. Monroe, E. Sangiorgi, and H. Vaidya
    [p. 1341]

    Comparative Physical and Electrical Metrology of Ultrathin Oxides in the 6 to 1.5 nm Regime
    K. Ahmed, E. Ibok, G. Bains, D. Chi, B. Ogle, J. J. Wortman, and J. R. Hauser
    [p. 1349]

    Silicon Devices

    Plasma-Induced Charging Damage in Ultrathin (3-nm) Gate Oxides
    C.-C. Chen, H.-C. Lin, C.-Y. Chang, M.-S. Liang, C.-H. Chien, S.-K. Hsien, T.-Y. Huang, and T.-S. Chao
    [p. 1355]

    The Performance and Reliability of PMOSFET's with Ultrathin Silicon Nitride/Oxide Stacked Gate Dielectrics with Nitrided Si-SiO2 Interfaces Prepared by Remote Plasma Enhanced CVD and Post-Deposition Rapid Thermal Annealing
    Y. Wu, G. Lucovsky, and Y.-M. Lee
    [p. 1361]

    Highly Robust Ultrathin Silicon Nitride Films Grown at Low-Temperature by Microwave-Excitation High-Density Plasma for Giga Scale Integration
    K. Sekine, Y. Saito, M. Hirayama, and T. Ohmi
    [p. 1370]

    Performance of the Floating Gate/Body Tied NMOSFET Photodetector on SOI Substrate
    W. Zhang, M. Chan, and P. K. Ko
    [p. 1375]

    Inverse Modeling of Two-Dimensional MOSFET Dopant Profile via Capacitance of the Source/Drain Gated Diode
    C. Y. T. Chiang, Y. T. Yeow, and R. Ghodsi
    [p. 1385]

    Analysis of Leakage Currents and Impact on Off-State Power Consumption for CMOS Technology in the 100-nm Regime
    W. K. Henson, N. Yang, S. Kubicek, E. M. Vogel, J. J. Wortman, K. De Meyer, and A. Naem
    [p. 1393]

    Use of Transient Enhanced Diffusion to Tailor Boron Out-Diffusion
    H.-H. Vuong, Y.-H. Xie, M. R. Frei, G. Hobler, L. Pelaz, and C. S. Rafferty
    [p. 1401]

    Fabrication and Analysis of Deep Submicron Strained-Si N-MOSFET's
    K. Rim, J. L. Hoyt, and J. F. Gibbons
    [p. 1406]

    Solid-State Device Phenomena

    Time Dependent Breakdown of Ultrathin Gate Oxide
    A. Yassine, H. E. Nariman, M. McBride, M. Uzer, and K. R. Olasupo
    [p. 1416]

    Studies of High DC Current Induced Degradation in III-V Nitride Based Heterojunctions
    W. Y. Ho, C. Surya, K. Y. Tong, L. W. Lu, and W. K. Ge
    [p. 1421]

    1/f Noise Model of Fully Overlapped Lightly Doped Drain MOSFET
    A. Kumar, E. Kalra, S. Haldar, and R. S. Gupta
    [p. 1426]

    Accurate Contact Resistivity Extraction on Kelvin Structures with Upper and Lower Resistive Layers
    J. Santander, M. Lozano, A. Collado, M. Ullan, and E. Cabruja
    [p. 1431]

    Hot Electron and Hot Hole Degradation of UHV/CVD SiGe HBT's
    U. Gogineni, J. D. Cressler, G. Niu, and D. L. Harame
    [p. 1440]

    Equations of State for Silicon Inversion Layers
    M. G. Ancona
    [p. 1449]

    Vacuum Electron Devices

    Sensitivity Analysis of TWT's Small Signal Gain Based on the Effect of Rod Shape and Dimensions
    S. D'Agostino, F. Emma, and C. Paoloni
    [p. 1457]


    BRIEFS

    Effect of Vacuum Ultraviolet Radiation on the Gap Fill Properties of Teflon Amorphous Fluoropolymer Film Deposited by Direct Liquid Injection
    V. Parihar, R. Singh, R. Sharangpani, S. D. Russell, and C. A. Young
    [p. 1463]

    Novel Fabrication of Ti-Pt-Au/GaAs Schottky Diodes
    C. A. St. Jean, W. L. Bishop, Jr., B. K. Sarpong, S. M. Marazita, and T. W. Crowe
    [p. 1465]

    Light Dependence of SOI MOSFET with Nonuniform Doping Profile
    G. K. Abraham, B. B. Pal, and R. U. Khan
    [p. 1469]


    SPECIAL SECTION PAPERS

    High-Sensitivity Photodetectors with On-Chip Pinhole for Laser Scanning Microscopy
    F. Zappa, M. Ghioni, R. Zappa, and U. Drodofsky
    [p. 1472]

    Low Temperature Analysis of 0.25 µm T-Gate Strained Si/Si0.55Ge0.45 N-MODFET's
    F. Aniel, N. Zerounian, R. Adde, M. Zeuner, T. Hackbarth, and U. König
    [p. 1477]

    Elevated Source/Drain by Sacrificial Selective Epitaxy for High Performance Deep Submicron CMOS: Process Window versus Complexity
    E. Augendre, R. Rooyackers, M. Caymax, E. P. Vandamme, A. De Keersgieter, C. Perello, M. Van Dievel, S. Pochet, and G. Badenes
    [p. 1484]

    40% Efficient Thin-Film Surface-Textured Light-Emitting Diodes by Optimization of Natural Lithography
    R. Windisch,B. Dutta, M. Kujik, A. Knobloch, S. Meinlschmidt, S. Schoberth, P. Kiesel, G. Borghs, G. H. Döhler, and P. Heremans
    [p. 1492]

    A Cost Effective Embedded DRAM Integration for High Density Memory and High Performance Logic Using 0.15 µm Technology Node and Beyond
    D. Ha, D. Shin, G.-H. Koh, J. Lee, S. Lee, Y.-S. Ahn, H. Jeong, T. Chung, and K. Kim
    [p. 1499]

    A 0.13 µm Poly-SiGe Gate CMOS Technology for Low-Voltage Mixed-Signal Applications
    Y. V. Ponomarev, P. A. Stolk, C. J. J. Dachs, and A. H. Montree
    [p. 1507]

    The Influence of Elevated Temperature on Degradation and Lifetime Prediction of Thin Silicon-Dioxide Films
    B. Kaczer, R. Degraeve, N. Pangon, and G. Groeseneken
    [p. 1514]

    Fracture Strength and Fatigue of Polysilicon Determined by a Novel Thermal Actuator
    H. Kapels, R. Aigner, and J. Binder
    [p. 1522]

    Compact Modeling of High-Frequency Distortion in Silicon Integrated Bipolar Transistors
    M. Schröter, D. R. Pehlke, and T.-Y. Lee
    [p. 1529]

    A Novel Lateral Bipolar Transistor with 67 GHz f{max on Thin-Film SOI for RF Analog Applications
    H. Nii, T. Yamada, K. Inoh, T. Shino, S. Kawanaka, M. Yoshimi, and Y. Katsumata
    [p. 1536]


    ANNOUNCEMENTS

    2000 IEEE GaAs IC Symposium
    [p. 1542]

    Call for Papers--IEEE International Conference on Microelectronic Test Structures, March 2001
    [p. 1543]

    Preliminary Call for Papers--2000 GaAs REL Workshop, November 2000
    [p. 1544]


    [ Table of Contents | Back Issues | On-Line Application ]

    If you would like to contact the IEEE Webmaster, email to webmaster@ieee.org
    © Copyright 2000, IEEE.   Terms & Conditions.  Privacy & Security
    Small IEEE Logo
    (www-pub-prev@ieee.org)
    URL: http://www.ieee.org/organizations/pubs/